<< Volver atrás

Tesis:

Modeling and Design of Ring Oscillators and their Application in Radiation Environments


  • Autor: AGUSTÍN SÁENZ, Javier

  • Título: Modeling and Design of Ring Oscillators and their Application in Radiation Environments

  • Fecha: 2016

  • Materia: Sin materia definida

  • Escuela: E.T.S. DE INGENIEROS DE TELECOMUNICACION

  • Departamentos: INGENIERIA ELECTRONICA

  • Acceso electrónico: http://oa.upm.es/45405/

  • Director/a 1º: LÓPEZ VALLEJO, María Luisa

  • Resumen: Humanity has always looked to the sky; first with mystery, later with curiosity. That curiosity led us to the desire of exploration of the unknown worlds. Although it was not immediate, as our limitations tend to keep us with our feet on the ground, we developed the necessary technology to see our dreams came true. This technology has seen its maximum development during the last half century lying on the evolution of electronic systems. As happens with other implicated sciences, overcoming the challenges presented by electronics along this path has not been a bed of roses. Many problems appeared from the beginning of the application of the well-known and tested technologies to an environment different from ground level. Then, we noticed that the composition of environments could affect the reliability of electronic systems and therefore special attention was required to design systems able to operate in those harsh environments. The radiation particles presented out of the atmosphere were considered the source of unreliability. A new subfield of study was opened within the field of electronics: characterization of radiation environments and their effects in electronic systems. Attending to the time of exposure, radiation effects are usually classified into long-term effects and single event effects. Long-term effects are generated by the degradation of electronic devices as a consequence of the exposition to radiation. And single event effects refer to a group of effects provoked by the impact of a single particle. The final outcome of this hit in the system depends on many variables such as: shielding, technology or design. To palliate these effects and improve reliability, two approaches have been developed: Radiation Hardening by Process (RHBP) and Radiation Hardening by Design (RHBD). At the same time the space exploration was relying on electronic systems, the evolution of semiconductor industry has been “forced” to follow the Moore’s law: “The number of transistors in an Integrated Circuit doubles approximately every two years”. This tendency has led to manufacture transistors with feature sizes as small as tens of nanometers. As a consequence, Moore’s law has been fulfilled and the achievements of modern electronic systems have been translated into amazing advances unimaginable a few years ago. However, the shrinking technology has been also accompanied by new challenges. One of these challenges is the interaction of radiation particles even at ground level while previously it was completely negligible. Therefore, redesigning conventional circuits used so far may be necessary to avoid the pernicious effects of radiation interaction not only for space exploration but for every application. Taking in mind this final goal, this thesis focuses on studying and improving one the most implemented circuits in recent electronic systems, the ring oscillator, using RHBD techniques. Ring oscillators are very robust and the requirements of oscillation are easily fulfilled. Both features have made them suitable for many applications, for instance, digital clocks, PLLs, DLLs or test structures. Hence, the improvement of this circuit is potentially very important because all of these applications can benefit from these improvement as long as new applications can start using them. Once the effects of radiation in ring oscillators have been analyzed, this thesis provides four main contributions. First, we designed a Total Ionization Dose sensor that takes advantage of cumulative effects. It presents the following advantages: it is a self-timed digital sensor with a configurable sensitivity whose interface allows its integration in a multidisciplinary network. We designed, implemented and manufactured the sensor in a 0.35 um commercial technology. It was characterized in terms of radiation, up to 575 krad, and temperature, from 0 to 50 °C. The sensor has an area of 0.047 mm2 and an energy per conversion of 463 pJ. Second, we propose a Single Event Transient tolerant ring oscillator which can be designed without area overhead. To achieve this goal, we force the masking of the radiation induced currents by configuring the duty cycle of ring oscillators. This configuration is based on the asymmetric design of odd and even stages of the ring oscillator. The validation of our proposal has been carried out through simulation and emulation methods. Third, we present two models in order to generalize the variation of the output duty cycle: the first model is based on the layout design and the second model establishes a relation between the output duty cycle and different bias voltage schemes. These models are validated with a 40 nm commercial technology. All of the previous applications of ring oscillators use as system output a clock signal with a 50% duty cycle. Our work sets the analytical basis for understanding and designing a ring oscillator whose outputs are clock signals with fully-configurable duty cycles different from 50%. Finally, we applied the previous models to design a Ring Oscillator PUF focused on the variability of the duty cycle instead of the frequency. Using a relative value, such as the duty cycle, the robustness of the PUF is improved. For example, the output shift due to radiation is decreased form 7% to 0.1% and due to temperature from 3% to less than 0.5%. Moreover, the input challenges are multiplied by the number of stages of each ring oscillator. RESUMEN El hombre ha mirado siempre hacia el cielo, primero lo hizo con misterio y más tarde con curiosidad. Y fue esa curiosidad la que sembró la semilla que nos ha llevado a desear explorar nuevos mundos más allá de nuestras fronteras. Sin embargo, ha sido necesario realizar un gran desarrollo tecnológico a lo largo de muchos años para poder comenzar a explorar los mundos más cercanos. Toda esta tecnología ha sido impulsada en la última mitad del siglo XX por la aparición de los sistemas electrónicos. Al igual que en el resto de las ciencias implicadas en este sueño, el desarrollo de la electrónica no ha sido un camino de rosas, surgiendo muchos problemas desde el inicio de la aplicación de tecnologías maduras en entornos diferentes al presente en la superficie terrestre. Fue entonces, cuando se puso de manifiesto que la composición de los diferentes entornos afectaba directamente a la Habilidad de los sistemas electrónicos, y en consecuencia, comenzó a tenerse en cuenta a la hora de realizar nuevos diseños destinados a operar en ambientes especialmente hostiles. En particular, la radiación de las partículas presentes fuera de la atmósfera terrestre fueron consideradas una importante fuente de fallos. Debido a la importancia de estos resultados, surgió un nuevo campo de investigación dentro de la electrónica que fue: la caracterización de entornos de radiación y sus efectos en sistemas electrónicos. Los efectos producidos por la radiación, son normalmente clasificados dependiendo del tiempo de exposición a la misma en: efectos a largo plazo y efectos puntuales. Los efectos a largo plazo son generados por la degradación de los dispositivos electrónicos como consecuencia de la exposición. Mientras que los efectos puntuales son producidos por el impacto de una sola partícula. El resultado final de este impacto depende de muchas variables, como por ejemplo, de la protección, la tecnología o el diseño de cada circuito. Para paliar estos efectos y mejorar así la fiabilidad, se han desarrollado dos metodologías diferentes: endurecimiento por proceso (RHBP) y endurecimiento por diseño (RHBD). Al mismo tiempo que la exploración del espacio dependía del desarrollo de la electrónica, la evolución de la industria de semiconductores ha sido “forzada” a seguir la famosa ley de Moore: “El número de transistores en un circuito integrado se dobla cada dos años aproximadamente”. Esta tendencia ha llevado a desarrollar transistores cada vez más pequeños hasta llegar a los tamaños actuales de pocas decenas de nanómetros. Sin embargo, este escalado de la tecnología también ha venido acompañado de nuevos retos, y uno de ellos es la aparición de efectos producidos por la radiación de partículas incluso en la superficie terrestre, donde antes estos efectos eran totalmente inapreciables. Por lo tanto, rediseñar los sistemas para evitar estos efectos perniciosos se ha convertido en algo totalmente obligatorio no solo para aquellos sistemas que van a operar en entornos hostiles sino para todos los sistemas. Siendo este el objetivo final, esta Tesis se centra en el estudio y mejora de uno de los circuitos más utilizados a lo largo de la historia, el oscilador en anillo. Los osciladores en anillo son osciladores muy robustos y que oscilan en casi cualquier circunstancia. Ambas características los han convertido en unos dispositivos muy versátiles que se implementan en aplicaciones muy heterogéneas: estructuras de test, PLLs, DLLs o relojes. Así, mejorar el diseño de este dispositivo supone una mejora potencial en cada una de las aplicaciones finales que lo utilizan. En esta Tesis, utilizamos los efectos producidos por la radiación en los osciladores en anillo para llevar a cabo esta tarea. Primero, hemos diseñado un sensor de Dosis Total Absorbida que se beneficia de los efectos producidos por la acumulación de carga. Este sensor presenta las siguientes características: es autotemporizado, tiene una sensibilidad configurable, es digital y su interfaz permite integrarlo en una red de sensores multidisciplinar. Hemos diseñado, implementado y fabricado el sensor en una tecnología comercial de 0,35 μm. Y lo hemos medido y caracterizado en términos de radiación hasta 575 krad y de temperatura dese 0 a 50 •C. El sensor ocupa un área de 0,0047 mm2 y consume 463 pJ por medida. Segundo, hemos propuesto un oscilador en anillo tolerante a efectos puntuales transitorios (SETs) sin penalización de área. Para ello, hemos enmascarado las corrientes inducidas por la radiación mediante la configuración del ciclo de trabajo de la señal de salida del oscilador. Esta configuración se basa en la implementación de etapas asimétricas. La validación de nuestra propuesta se realizó mediante métodos de simulación y emulación. Tercero, hemos desarrollado dos modelos que generalizan el método de configuración del ciclo de trabajo del oscilador en anillo. El primero de estos modelos se basa en el diseño del trazado de cada uno de los transistores, y el segundo se basa en utilizar diferentes esquemas de alimentación. Estos modelos han sido validados con simulaciones de una tecnología comercial de 40 nm. Por último, hemos aplicado los modelos desarrollados para implementar un PUF basado en osciladores en anillo. Estos sistemas son sistemas de seguridad hardware que requieren una gran fiabilidad. Para aumentar la fiabilidad de los sistemas actuales, hemos propuesto medir el ciclo de trabajo en lugar de la frecuencia de salida, consiguiendo mejoras de más del 20% en el peor de los casos.